射频和无线供应商和资源之家

一站式满足您的射频和无线需求

灰度到二进制转换VHDL源代码

本页的VHDL源代码涵盖灰度到二进制转换vhdl代码

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;
实体gray2binary是
Port (g: in STD_LOGIC_VECTOR (3 downto 0);
b: out STD_LOGIC_VECTOR (3 downto 0);
gray2binary结束;

gray2binary的行为是
开始
b (3) < = g (3);
B (2)<= g(3) xor g(2);
B (1)<= g(3) xor g(2) xor g(1);
B (0)<= g(3) xor g(2) xor g(1) xor g(0);
端行为;

VHDL代码的有用链接

参考以下以及在左侧面板上提到的有用的VHDL代码链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2位并行转串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享此页面

翻译此页
Baidu