射频和无线供应商和资源的家

一站式满足您的射频和无线需求

卷积编码器VHDL源代码

本页的VHDL源代码涵盖卷积编码器vhdl代码并提供了卷积编码器基础知识的链接。这个卷积编码器是为以下规格开发的。

规范

FEC利率:1/2
约束长度:7
生成器多项式:G1=171(八进制)output1(X), G2 = 133(八进制)output1(Y)

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;

实体conv_enc是
端口(din:在std_logic;——输入
CLK:在std_logic;——时钟
重置:在std_logic;——重置
Valid_in:在std_logic中;——有效的输入
Z: out std_logic_vector(1 downto 0);——输出数据
valid_out: out std_logic——输出有效信号
);

conv_enc结束;

conv_enc的行为是

信号a: std_logic;
信号b: std_logic;
信号c: std_logic;
信号d: std_logic;
信号e: std_logic;
信号f: std_logic;

开始

进程(clk,重置)
开始
如果reset = '1',则
A <= '0';
B <= '0';
C <= '0';
D <= '0';
E <= '0';
F <= '0';
Z <= "00";
Valid_out <= '0';
Elsif (clk = '1' and clk'event)然后
Valid_out <= valid_in;
如果valid_in = '1',则
A <= din;
B <= a;
C <= b;——输入延时6个时钟
D <= c;
E <= d;
F <= e;
如果;
Z (1) <= din xor a xor b xor c xor f;——171年(八进制)
Z (0) <= din xor b xor c xor e xor f;——133年(八进制)
如果;
结束过程;

端行为;

有用的链接到VHDL代码

参考以下以及左侧面板上提到的有用的VHDL代码的链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2bit并行串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享本页

翻译本页
Baidu