射频和无线供应商和资源的家

一站式满足您的射频和无线需求

T触发器VHDL源代码

本页的VHDL源代码涵盖T触发器vhdl代码

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;

实体TFF1是
端口(t,clk,rst:在STD_LOGIC;
q: inout STD_LOGIC);
TFF1结束;

TFF1的行为是
信号div:std_logic_vector(22 downto 0);
信号clkd: std_logic;
开始
过程(时钟)
开始
如果rising_edge(时钟)
div < = div + 1;
如果;
结束过程;
clkd < = div (20);
过程(clkd rst)
开始
如果(rst = ' 1 ')
q < = ' 0 ';
Elsif (clkd'event and clkd='1' and t='1') then
Q <= not Q;
其他q < =问;
如果;
结束过程;
端行为;

有用的链接到VHDL代码

参考以下以及左侧面板上提到的有用的VHDL代码的链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2bit并行串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享本页

翻译本页
Baidu