射频和无线供应商和资源的家

一站式满足您的射频和无线需求

8到3编码器VHDL源代码

本页的VHDL源代码涵盖8到3编码器VHDL代码

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.all;

实体encoder8to3是
端口(
in STD_LOGIC_VECTOR(7 downto 0);
dout: out STD_LOGIC_VECTOR(2 downto 0)
);
encoder8to3结束;

encoder8to3的encoder8to3_arc体系结构为
开始

Dout <= "000"当(din="10000000") else
当(din="01000000") else时为"001"
"010"当(din="00100000") else
"011"当(din="00010000") else
"100"当(din="00001000") else
当(din="00000100") else时为"101"
"110"当(din="00000010") else
“111”;

encoder8to3_arc结束;

有用的链接到VHDL代码

参考以下以及左侧面板上提到的有用的VHDL代码的链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2bit并行串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享本页

翻译本页
Baidu