射频和无线供应商和资源的家

一站式满足您的射频和无线需求

4X1 MUX VHDL源代码

本页的VHDL源代码涵盖4X1 MUX vhdl代码

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;

实体depun_mux_out是
端口(in1:在std_logic;——mux input1
In2:在std_logic中;——mux input2
In3:在std_logic;——mux input3
In4:在std_logic中;——mux输入4
Sel: in std_logic_vector(1 downto 0);——选择线
datout: out std_logic);——输出数据
depun_mux_out结束;

architecture depun_mux_out的行为是

开始
这个过程用于多路复用逻辑
进程(sel, in1, in2, in3, in4)
开始
案例SEL为
当"00" => dataout <= in1;
当"01" => dataout <= in2;
当"10" => dataout <= in3;
当"11" => dataout <= in4;
当other => dataout <= '0';
结束;
结束过程;

端行为;

有用的链接到VHDL代码

参考以下以及左侧面板上提到的有用的VHDL代码的链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2bit并行串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享本页

翻译本页
Baidu