射频和无线供应商和资源的家

一站式满足您的射频和无线需求

3到8解码器VHDL源代码

本页的VHDL源代码涵盖3 - 8解码VHDL代码

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;

实体解码器是
Port (s: in STD_LOGIC_VECTOR (2 downto 0);
y: out STD_LOGIC_VECTOR (7 downto 0));
结束译码器;

译码器的行为是
开始
使用sel select
Y <="00000001"当"000",
“00000010”当“001”,
“00000100”当“010”,
“00001000”当“011”,
“00010000”当“100”,
“00100000”当“101”,
“01000000”当“110”,
“10000000”当“111”,
“00000000”当别人;
端行为;

有用的链接到VHDL代码

参考以下以及左侧面板上提到的有用的VHDL代码的链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2bit并行串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享本页

翻译本页
Baidu