射频和无线供应商和资源的家

一站式满足您的射频和无线需求

1X8 DEMUX VHDL源代码

本页的VHDL源代码涵盖1X8 DEMUX vhdl代码

硬件描述语言(VHDL)代码

图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;

实体dmux1是
在std_logic端口(f:;
S:in std_logic_vector(2 downto 0);
Y:out std_logic_vector(7 downto 0));
demux1结束;

dmux1的体系结构行为是
开始
Y (0)<=f当s="000"else'0';
Y (1)<=f当s="001"else'0';
Y (2)<=f当s="010"else'0';
Y (3)<=f当s="011"else'0';
Y (4)<=f当s="100"else'0';
Y (5)<=f当s="101"else'0';
Y (6)<=f当s="110"else'0';
Y (7)<=f当s="111"else'0';
端行为;

有用的链接到VHDL代码

参考以下以及左侧面板上提到的有用的VHDL代码的链接。
D触发器
T触发器
读写RAM
4 x1 MUX
4位二进制计数器
Radix4蝴蝶
16 qam调制
2bit并行串行

射频和无线教程

无线局域网802.11交流802.11广告wimax无线个域网z - waveGSMLTEUMTS蓝牙超宽频物联网卫星天线雷达


分享本页

翻译本页
Baidu